public inbox for gentoo-commits@lists.gentoo.org
 help / color / mirror / Atom feed
* [gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/verilator/files/, sci-electronics/verilator/
@ 2020-08-23  7:10 Rui Huang
  0 siblings, 0 replies; 3+ messages in thread
From: Rui Huang @ 2020-08-23  7:10 UTC (permalink / raw
  To: gentoo-commits

commit:     957ee646c38cd632cb18a45078763659126fd66d
Author:     Huang Rui <vowstar <AT> gmail <DOT> com>
AuthorDate: Sun Aug 23 07:00:06 2020 +0000
Commit:     Rui Huang <vowstar <AT> gmail <DOT> com>
CommitDate: Sun Aug 23 07:00:06 2020 +0000
URL:        https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=957ee646

sci-electronics/verilator: bump to 4.040

Fix bison 3.7 build bug
Applied https://github.com/verilator/verilator/pull/2505

Package-Manager: Portage-3.0.3, Repoman-3.0.0
Signed-off-by: Huang Rui <vowstar <AT> gmail.com>

 sci-electronics/verilator/Manifest                 |  3 +-
 .../files/verilator-4.040-fix-bison.patch          | 19 +++++++++
 sci-electronics/verilator/verilator-4.040.ebuild   | 47 ++++++++++++++++++++++
 3 files changed, 68 insertions(+), 1 deletion(-)

diff --git a/sci-electronics/verilator/Manifest b/sci-electronics/verilator/Manifest
index c37e8f5..b383ef2 100644
--- a/sci-electronics/verilator/Manifest
+++ b/sci-electronics/verilator/Manifest
@@ -1,3 +1,4 @@
-DIST verilator-4.034.tar.gz 2612571 BLAKE2B ff4fd49f3ef09fb17c7cce799b2c39f89ce327245b11d2f6ab9a6644e04654d637be4689e4b8d8841e37c889f7d614a41b9e475a276de8adf80587cf14fc9d3b SHA512 04c9c0f51c5c8262cd8e8338204ed6729a3f5be399e012252dd2c102f6474a9abcfdb693bc13eb4fcf7e74e0a6dfa375c3b6592fbc5b5ad2ed07f852a4a06646
+DIST verilator-4.034.tar.gz 1998271 BLAKE2B 456ee4c8e7d28dd979f74cd8c6b5e734d33267b5dba1926f82b6827fbc1b2625aafc4f4d088c961be1632957966ab350767f836ed7eee1f1f01b4315f1c8f2b2 SHA512 5651cf2c102df10b3784799968f8e554d0116487a962b99fd03526b71af67cb49c932eaaef260b19cbfc80a47747dd6e8d04b461f663522cd1f05ad9843002d3
 DIST verilator-4.036.tar.gz 2064470 BLAKE2B 210d39747e132ce1de8673e971c8765a28eeb23f30902dd032acb67a6d5f9fce2eae8c4edec00b839c6e81ff0985a99e4b065b4a570531f393d47802121eea93 SHA512 2f83bf144346aed4ff6bd208af56ed19cbe562c51324b8dc3243419b63734ff15c805fd6e7d5c2109af9cf51f7d44e249d7f1cc1a383bab428503de317e1d60c
 DIST verilator-4.038.tar.gz 2085353 BLAKE2B 330162ed8786b6ac1127e844224ad4a3cfc20e6bc2bbf8f1662ae23e3b18b8b908b145390a2e284ff2b70299b9475d25da7eb23643c70b617d95061c7a4a79c3 SHA512 a963aeccd4bb36a3ac39a5e831ec2600b87c0b46c787fa38900b7bd0ae59fb75dbfaf6261d1050ae1bd29fdb8b0b6a1957f08559c36c498f49738819b4d56d68
+DIST verilator-4.040.tar.gz 2094439 BLAKE2B 52303784d0385884280b254bc9a259880184e852a1102d0ad0b1d81490c63a6900789cefc1b3138cdbaec9f35c1593d464355cf58be12a7abb33d9d3c3161648 SHA512 5084b367bddca62df3fe4de1ef8c0c04d02bbf104b433d6caedfd9334659fda323dc4ce650138a3b16e0d9d87fb89453d8fc3fd4f9be298359baf03d986d8e01

diff --git a/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch b/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch
new file mode 100644
index 0000000..ce6bbf9
--- /dev/null
+++ b/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch
@@ -0,0 +1,19 @@
+diff --git a/src/verilog.y b/src/verilog.y
+index 2f16ef23..1175542c 100644
+--- a/src/verilog.y
++++ b/src/verilog.y
+@@ -301,6 +301,15 @@ class AstSenTree;
+ // Bison 3.0 and newer
+ BISONPRE_VERSION(3.0,%define parse.error verbose)
+ 
++// We run bison with the -d argument. This tells it to generate a
++// header file with token names. Old versions of bison pasted the
++// contents of that file into the generated source as well; newer
++// versions just include it.
++//
++// Since we run bison through ../bisonpre, it doesn't know the correct
++// header file name, so we need to tell it.
++BISONPRE_VERSION(3.7,%define api.header.include {"V3ParseBison.h"})
++
+ // When writing Bison patterns we use yTOKEN instead of "token",
+ // so Bison will error out on unknown "token"s.

diff --git a/sci-electronics/verilator/verilator-4.040.ebuild b/sci-electronics/verilator/verilator-4.040.ebuild
new file mode 100644
index 0000000..38ade43
--- /dev/null
+++ b/sci-electronics/verilator/verilator-4.040.ebuild
@@ -0,0 +1,47 @@
+# Copyright 1999-2020 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI="7"
+
+inherit autotools
+
+DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
+HOMEPAGE="
+	https://verilator.org
+	https://github.com/verilator/verilator
+"
+
+if [[ "${PV}" == "9999" ]] ; then
+	inherit git-r3
+	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
+else
+	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
+	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
+fi
+
+LICENSE="|| ( Artistic-2 LGPL-3 )"
+SLOT="0"
+
+RDEPEND="
+	dev-lang/perl
+	sys-libs/zlib
+"
+
+DEPEND="
+	${RDEPEND}
+"
+
+BDEPEND="
+	sys-devel/bison
+	sys-devel/flex
+"
+
+PATCHES=(
+	# https://github.com/verilator/verilator/pull/2505
+	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
+)
+
+src_prepare() {
+	default
+	eautoconf --force
+}


^ permalink raw reply related	[flat|nested] 3+ messages in thread
* [gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/verilator/files/, sci-electronics/verilator/
@ 2020-09-18  9:28 Rui Huang
  0 siblings, 0 replies; 3+ messages in thread
From: Rui Huang @ 2020-09-18  9:28 UTC (permalink / raw
  To: gentoo-commits

commit:     65a8fe6f34b4ecc45896ccd97501199f852c05b1
Author:     Huang Rui <vowstar <AT> gmail <DOT> com>
AuthorDate: Fri Sep 18 09:28:10 2020 +0000
Commit:     Rui Huang <vowstar <AT> gmail <DOT> com>
CommitDate: Fri Sep 18 09:28:10 2020 +0000
URL:        https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=65a8fe6f

sci-electronics/verilator: drop old ebuild

Only keep 4.100

Package-Manager: Portage-3.0.7, Repoman-3.0.1
Signed-off-by: Huang Rui <vowstar <AT> gmail.com>

 sci-electronics/verilator/Manifest                 |  5 ---
 .../files/verilator-4.034-fix-bison.patch          | 13 ------
 .../files/verilator-4.040-fix-bison.patch          | 19 ---------
 sci-electronics/verilator/verilator-4.032.ebuild   | 49 ----------------------
 sci-electronics/verilator/verilator-4.034.ebuild   | 49 ----------------------
 sci-electronics/verilator/verilator-4.036.ebuild   | 47 ---------------------
 sci-electronics/verilator/verilator-4.038.ebuild   | 47 ---------------------
 sci-electronics/verilator/verilator-4.040.ebuild   | 47 ---------------------
 8 files changed, 276 deletions(-)

diff --git a/sci-electronics/verilator/Manifest b/sci-electronics/verilator/Manifest
index de8bfe1c..ce3deaa4 100644
--- a/sci-electronics/verilator/Manifest
+++ b/sci-electronics/verilator/Manifest
@@ -1,6 +1 @@
-DIST verilator-4.032.tar.gz 2497830 BLAKE2B eb318e0326be9f72b32bd98f8a6de74516bda4bdf2d21d572c72e92759a4ee64e514fdb3dae20c8bea40e72d493775a02766e7ea619dd8eb541f3450278b0b20 SHA512 2b34d0b6d94babb74b443b3f3ae4e6c9f15423a0b078df72930c4f75b4a831843a4d4b901dc586725a67a49c6f5308402fef4c9ca72b88b13b01746b36d2fb20
-DIST verilator-4.034.tar.gz 1998271 BLAKE2B 456ee4c8e7d28dd979f74cd8c6b5e734d33267b5dba1926f82b6827fbc1b2625aafc4f4d088c961be1632957966ab350767f836ed7eee1f1f01b4315f1c8f2b2 SHA512 5651cf2c102df10b3784799968f8e554d0116487a962b99fd03526b71af67cb49c932eaaef260b19cbfc80a47747dd6e8d04b461f663522cd1f05ad9843002d3
-DIST verilator-4.036.tar.gz 2064470 BLAKE2B 210d39747e132ce1de8673e971c8765a28eeb23f30902dd032acb67a6d5f9fce2eae8c4edec00b839c6e81ff0985a99e4b065b4a570531f393d47802121eea93 SHA512 2f83bf144346aed4ff6bd208af56ed19cbe562c51324b8dc3243419b63734ff15c805fd6e7d5c2109af9cf51f7d44e249d7f1cc1a383bab428503de317e1d60c
-DIST verilator-4.038.tar.gz 2085353 BLAKE2B 330162ed8786b6ac1127e844224ad4a3cfc20e6bc2bbf8f1662ae23e3b18b8b908b145390a2e284ff2b70299b9475d25da7eb23643c70b617d95061c7a4a79c3 SHA512 a963aeccd4bb36a3ac39a5e831ec2600b87c0b46c787fa38900b7bd0ae59fb75dbfaf6261d1050ae1bd29fdb8b0b6a1957f08559c36c498f49738819b4d56d68
-DIST verilator-4.040.tar.gz 2094439 BLAKE2B 52303784d0385884280b254bc9a259880184e852a1102d0ad0b1d81490c63a6900789cefc1b3138cdbaec9f35c1593d464355cf58be12a7abb33d9d3c3161648 SHA512 5084b367bddca62df3fe4de1ef8c0c04d02bbf104b433d6caedfd9334659fda323dc4ce650138a3b16e0d9d87fb89453d8fc3fd4f9be298359baf03d986d8e01
 DIST verilator-4.100.tar.gz 2113464 BLAKE2B 8f8b0d9f10680cc6763d3588056b6dbd3717f3697ce300d217c8f42636b847b39bc49c9a048e90f47fc87396f401da0dda67d06dc9ef327ab823c6d9cc3d0c60 SHA512 056a863bfbe6221c866f67c9c0c915ff282165d53d8e8f614b04cfed18cbe9ed5082bbcf4c1021ab391d20f5047c0185bc2be59d3e7204bf6cb1d2c48c79da4f

diff --git a/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch b/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch
deleted file mode 100644
index b7eb095e..00000000
--- a/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch
+++ /dev/null
@@ -1,13 +0,0 @@
-diff --git a/src/bisonpre b/src/bisonpre
-index 39597957..48cf26eb 100755
---- a/src/bisonpre
-+++ b/src/bisonpre
-@@ -212,6 +212,8 @@ sub clean_output {
-         $line =~ s!\(YY_\("!(YY_((char*)"!g;
-         # Fix bison 2.3 glr-parser warning about yyerrorloc.YYTYPE::yydummy uninit
-         $line =~ s!(YYLTYPE yyerrloc;)!$1 yyerrloc.yydummy=0;/*bisonpre*/!g;
-+        # Fix bison 3.6.1 unexpected nested-comment
-+        $line =~ s!/\* "/\*.*\*/"  \*/!!g;
-         $fh->write($line);
-     }
-     $fh->close;

diff --git a/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch b/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch
deleted file mode 100644
index ce6bbf99..00000000
--- a/sci-electronics/verilator/files/verilator-4.040-fix-bison.patch
+++ /dev/null
@@ -1,19 +0,0 @@
-diff --git a/src/verilog.y b/src/verilog.y
-index 2f16ef23..1175542c 100644
---- a/src/verilog.y
-+++ b/src/verilog.y
-@@ -301,6 +301,15 @@ class AstSenTree;
- // Bison 3.0 and newer
- BISONPRE_VERSION(3.0,%define parse.error verbose)
- 
-+// We run bison with the -d argument. This tells it to generate a
-+// header file with token names. Old versions of bison pasted the
-+// contents of that file into the generated source as well; newer
-+// versions just include it.
-+//
-+// Since we run bison through ../bisonpre, it doesn't know the correct
-+// header file name, so we need to tell it.
-+BISONPRE_VERSION(3.7,%define api.header.include {"V3ParseBison.h"})
-+
- // When writing Bison patterns we use yTOKEN instead of "token",
- // so Bison will error out on unknown "token"s.

diff --git a/sci-electronics/verilator/verilator-4.032.ebuild b/sci-electronics/verilator/verilator-4.032.ebuild
deleted file mode 100644
index e308400c..00000000
--- a/sci-electronics/verilator/verilator-4.032.ebuild
+++ /dev/null
@@ -1,49 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit autotools
-
-DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="
-	https://verilator.org
-	https://github.com/verilator/verilator
-"
-
-if [[ "${PV}" == "9999" ]] ; then
-	inherit git-r3
-	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
-else
-	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
-fi
-
-LICENSE="|| ( Artistic-2 LGPL-3 )"
-SLOT="0"
-
-RDEPEND="
-	dev-lang/perl
-	sys-libs/zlib
-"
-
-DEPEND="
-	${RDEPEND}
-"
-
-BDEPEND="
-	sys-devel/bison
-	sys-devel/flex
-"
-
-PATCHES=(
-	# https://github.com/verilator/verilator/issues/2320
-	"${FILESDIR}"/${PN}-4.034-fix-bison.patch
-	# https://github.com/verilator/verilator/pull/2505
-	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
-)
-
-src_prepare() {
-	default
-	eautoconf --force
-}

diff --git a/sci-electronics/verilator/verilator-4.034.ebuild b/sci-electronics/verilator/verilator-4.034.ebuild
deleted file mode 100644
index e308400c..00000000
--- a/sci-electronics/verilator/verilator-4.034.ebuild
+++ /dev/null
@@ -1,49 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit autotools
-
-DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="
-	https://verilator.org
-	https://github.com/verilator/verilator
-"
-
-if [[ "${PV}" == "9999" ]] ; then
-	inherit git-r3
-	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
-else
-	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
-fi
-
-LICENSE="|| ( Artistic-2 LGPL-3 )"
-SLOT="0"
-
-RDEPEND="
-	dev-lang/perl
-	sys-libs/zlib
-"
-
-DEPEND="
-	${RDEPEND}
-"
-
-BDEPEND="
-	sys-devel/bison
-	sys-devel/flex
-"
-
-PATCHES=(
-	# https://github.com/verilator/verilator/issues/2320
-	"${FILESDIR}"/${PN}-4.034-fix-bison.patch
-	# https://github.com/verilator/verilator/pull/2505
-	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
-)
-
-src_prepare() {
-	default
-	eautoconf --force
-}

diff --git a/sci-electronics/verilator/verilator-4.036.ebuild b/sci-electronics/verilator/verilator-4.036.ebuild
deleted file mode 100644
index 38ade43b..00000000
--- a/sci-electronics/verilator/verilator-4.036.ebuild
+++ /dev/null
@@ -1,47 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit autotools
-
-DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="
-	https://verilator.org
-	https://github.com/verilator/verilator
-"
-
-if [[ "${PV}" == "9999" ]] ; then
-	inherit git-r3
-	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
-else
-	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
-fi
-
-LICENSE="|| ( Artistic-2 LGPL-3 )"
-SLOT="0"
-
-RDEPEND="
-	dev-lang/perl
-	sys-libs/zlib
-"
-
-DEPEND="
-	${RDEPEND}
-"
-
-BDEPEND="
-	sys-devel/bison
-	sys-devel/flex
-"
-
-PATCHES=(
-	# https://github.com/verilator/verilator/pull/2505
-	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
-)
-
-src_prepare() {
-	default
-	eautoconf --force
-}

diff --git a/sci-electronics/verilator/verilator-4.038.ebuild b/sci-electronics/verilator/verilator-4.038.ebuild
deleted file mode 100644
index 38ade43b..00000000
--- a/sci-electronics/verilator/verilator-4.038.ebuild
+++ /dev/null
@@ -1,47 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit autotools
-
-DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="
-	https://verilator.org
-	https://github.com/verilator/verilator
-"
-
-if [[ "${PV}" == "9999" ]] ; then
-	inherit git-r3
-	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
-else
-	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
-fi
-
-LICENSE="|| ( Artistic-2 LGPL-3 )"
-SLOT="0"
-
-RDEPEND="
-	dev-lang/perl
-	sys-libs/zlib
-"
-
-DEPEND="
-	${RDEPEND}
-"
-
-BDEPEND="
-	sys-devel/bison
-	sys-devel/flex
-"
-
-PATCHES=(
-	# https://github.com/verilator/verilator/pull/2505
-	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
-)
-
-src_prepare() {
-	default
-	eautoconf --force
-}

diff --git a/sci-electronics/verilator/verilator-4.040.ebuild b/sci-electronics/verilator/verilator-4.040.ebuild
deleted file mode 100644
index 38ade43b..00000000
--- a/sci-electronics/verilator/verilator-4.040.ebuild
+++ /dev/null
@@ -1,47 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit autotools
-
-DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="
-	https://verilator.org
-	https://github.com/verilator/verilator
-"
-
-if [[ "${PV}" == "9999" ]] ; then
-	inherit git-r3
-	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
-else
-	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
-fi
-
-LICENSE="|| ( Artistic-2 LGPL-3 )"
-SLOT="0"
-
-RDEPEND="
-	dev-lang/perl
-	sys-libs/zlib
-"
-
-DEPEND="
-	${RDEPEND}
-"
-
-BDEPEND="
-	sys-devel/bison
-	sys-devel/flex
-"
-
-PATCHES=(
-	# https://github.com/verilator/verilator/pull/2505
-	"${FILESDIR}"/${PN}-4.040-fix-bison.patch
-)
-
-src_prepare() {
-	default
-	eautoconf --force
-}


^ permalink raw reply related	[flat|nested] 3+ messages in thread
* [gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/verilator/files/, sci-electronics/verilator/
@ 2020-05-13 13:57 Rui Huang
  0 siblings, 0 replies; 3+ messages in thread
From: Rui Huang @ 2020-05-13 13:57 UTC (permalink / raw
  To: gentoo-commits

commit:     aa70ccd2c8168a680487d6693fbd9eb2dbbba839
Author:     Huang Rui <vowstar <AT> gmail <DOT> com>
AuthorDate: Wed May 13 13:53:28 2020 +0000
Commit:     Rui Huang <vowstar <AT> gmail <DOT> com>
CommitDate: Wed May 13 13:56:17 2020 +0000
URL:        https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=aa70ccd2

sci-electronics/verilator: fix compile problem

After bison upgrade to 3.6.1, caused compile error.
bison 3.6.1 generated unexpected nested-comment,
but 3.5.4 is no problem.
This fix workaround it by remove unexpected nested
comment. The test pass.

Issue: https://github.com/akimd/bison/issues/38
Issue: https://github.com/verilator/verilator/issues/2320
Package-Manager: Portage-2.3.99, Repoman-2.3.22
Signed-off-by: Huang Rui <vowstar <AT> gmail.com>

 .../verilator/files/verilator-4.034-fix-bison.patch     | 17 +++++++++++++++++
 sci-electronics/verilator/verilator-4.034.ebuild        | 14 +++++++++++---
 2 files changed, 28 insertions(+), 3 deletions(-)

diff --git a/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch b/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch
new file mode 100644
index 0000000..56d680a
--- /dev/null
+++ b/sci-electronics/verilator/files/verilator-4.034-fix-bison.patch
@@ -0,0 +1,17 @@
+diff --git a/src/Makefile_obj.in b/src/Makefile_obj.in
+index 911f97e1..63799569 100644
+--- a/src/Makefile_obj.in
++++ b/src/Makefile_obj.in
+@@ -323,6 +323,12 @@ V3ParseBison.h: V3ParseBison.c
+ V3ParseBison.c: verilog.y $(BISONPRE)
+ 	@echo "If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer."
+ 	$(PERL) $(BISONPRE) --yacc ${YACC} -d -v -o V3ParseBison.c $<
++	# Unfortunately bison 3.6.1 have break changes caused compile error.
++	# Workaround issue https://github.com/verilator/verilator/issues/2320
++	# This workaround is safe for the old version of bison.
++	# TODO: code should removed when bison fixed the problem.
++	@sed -ie 's#/\* "/\*.*\*/"  \*/##g' V3ParseBison.c
++	@sed -ie 's#/\* "/\*.*\*/"  \*/##g' V3ParseBison.h
+ 
+ V3Lexer_pregen.yy.cpp:	verilog.l V3ParseBison.h $(HEADERS)
+ 	${LEX} --version

diff --git a/sci-electronics/verilator/verilator-4.034.ebuild b/sci-electronics/verilator/verilator-4.034.ebuild
index 10df066..bf099b7 100644
--- a/sci-electronics/verilator/verilator-4.034.ebuild
+++ b/sci-electronics/verilator/verilator-4.034.ebuild
@@ -6,13 +6,16 @@ EAPI="7"
 inherit autotools
 
 DESCRIPTION="The fast free Verilog/SystemVerilog simulator"
-HOMEPAGE="https://www.veripool.org/wiki/verilator"
+HOMEPAGE="
+	https://verilator.org
+	https://github.com/verilator/verilator
+"
 
 if [[ "${PV}" == "9999" ]] ; then
 	inherit git-r3
-	EGIT_REPO_URI="https://git.veripool.org/git/${PN}"
+	EGIT_REPO_URI="https://github.com/${PN}/${PN}.git"
 else
-	SRC_URI="http://www.veripool.org/ftp/${P}.tgz -> ${P}.tar.gz"
+	SRC_URI="https://github.com/${PN}/${PN}/archive/v${PV}.tar.gz -> ${P}.tar.gz"
 	KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
 fi
 
@@ -33,6 +36,11 @@ BDEPEND="
 	sys-devel/flex
 "
 
+PATCHES=(
+	# https://github.com/verilator/verilator/issues/2320
+	"${FILESDIR}"/${PN}-4.034-fix-bison.patch
+)
+
 src_prepare() {
 	default
 	eautoconf --force


^ permalink raw reply related	[flat|nested] 3+ messages in thread

end of thread, other threads:[~2020-09-18  9:28 UTC | newest]

Thread overview: 3+ messages (download: mbox.gz follow: Atom feed
-- links below jump to the message on this page --
2020-08-23  7:10 [gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/verilator/files/, sci-electronics/verilator/ Rui Huang
  -- strict thread matches above, loose matches on Subject: below --
2020-09-18  9:28 Rui Huang
2020-05-13 13:57 Rui Huang

This is a public inbox, see mirroring instructions
for how to clone and mirror all data and code used for this inbox